11. powerplay による電力解析 - intel · 2021. 2. 8. · 11–4 altera corporation...

51
この翻訳版ドキュメントのメンテナンスは終了しております。 この文書には、古いコンテンツや商標が含まれている場合があります。 最新情報につきましては、次のリンクから英語版の最新資料をご確認ください。 https://www.intel.com/content/www/us/en/programmable/documentation/lit-index.html Please take note that this document is no longer being maintained. It may contain legacy content and trademarks which may be outdated. Please refer to English version for latest update at https://www.intel.com/content/www/us/en/programmable/documentation/lit-index.html

Upload: others

Post on 21-Feb-2021

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

この翻訳版ドキュメントのメンテナンスは終了しております。

この文書には、古いコンテンツや商標が含まれている場合があります。

最新情報につきましては、次のリンクから英語版の最新資料をご確認ください。

https://www.intel.com/content/www/us/en/programmable/documentation/lit-index.html

Please take note that this document is no longer being maintained. It may contain legacy content and trademarks which may be outdated.

Please refer to English version for latest update at https://www.intel.com/content/www/us/en/programmable/documentation/lit-index.html

Page 2: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–12008年 5月 暫定サポート

この資料は英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。こちらの日本語版は参考用としてご利用ください。設計の際には、最新の英語版で内容をご確認ください。

11. PowerPlayによる電力解析

概要 デザインの規模が大きくなり、プロセス・テクノロジの微細化が進むのに伴い、消費電力はますます重要なデザイン上の考慮事項となっています。プリント基板(PCB)を設計するときは、デバイスの消費電力を正確に見積もり、適切な電力供給量を把握して、電源、ボルテージ・レギュレータ、ヒート・シンク、および冷却システムを設計する必要があります。アルテラが提供する PowerPlay消費電力解析ツールでは、消費電力の精度が向上しており、早期デザイン・コンセプトの段階からデザイン実装まで、消費電力を見積もることができます(図 11–1を参照)。

図 11–1. PowerPlayによる電力解析

現在のデザイン・サイクルの段階と、見積もりでどの程度の精度が必要であるかに応じて、Quartus® IIソフトウェアで、PowerPlay Early PowerEstimatorスプレッドシートまたは PowerPlay Power Analyzerツールのいずれかを使用することができます。ボードの設計およびレイアウト・フェーズでは、PowerPlay Early Power Estimatorスプレッドシートを使用して、消費電力の見積もりを取得して適切な電源管理を設計することができます。PowerPlay Power Analyzerツールは、デザインの完成後に使用して正確な消費電力の見積もりを取得し、温度の上限および供給電力の上限に違反しないようにします。

User Input

Quartus IIDesign Profile

Place-and-RouteResults

SimulationResults

Design Concept Design Implementation

PowerPlay Early Power Estimators Quartus II PowerPlay Power Analyzer

Lower PowerPlay Power Analysis Inputs Higher

Estim

atio

n Ac

cura

cy

Higher

QII53013-8.0.0

Page 3: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–2 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

Arria™ GX、Stratix®シリーズ・デバイス、Cyclone®シリーズ・デバイス、HardCopy® II、およびMAX® IIデバイスの消費電力は、Microsoft Excelベースの PowerPlay Early Power Estimator スプレッドシートまたはPowerPlay Power Analyzerツールを使用して見積もることができます。

Arria GX、Stratix シリーズ・デバイス、Cyclone シリーズ・デバイス、HardCopy II、およびMAX IIデバイスの PowerPlay Power Estimatorスプレッドシートの入手方法および使用方法について詳しくは、アルテラ・ウェブサイトの「PowerPlay Early Power Estimators (EPE) and PowerAnalyzer」を参照してください。

この章では、以下の項目について説明します。

■ 「Quartus II Early Power Estimatorファイル」■ 11–6ページの「電力解析の種類」■ 11–7ページの「消費電力に影響する要因」■ 11–25ページの「PowerPlay Power Analyzerの使用」

Quartus II Early Power Estimatorファイル

Early Power Estimatorスプレッドシートにデータを入力するときは、デバイス・リソース、動作周波数、トグル・レート、その他のパラメータを入力する必要があります。これにはデザインに関する知識が必要です。既存のデザインがない場合は、デザインで使用するデバイス・リソース数を見積もって、それを手動で入力する必要があります。

すでに既存のデザインがある場合、またはデザインが部分的に完成している場合は、Quartus II ソフトウェアが生成する Power Estimator ファイルを参考にして、PowerPlay Early Power Estimatorスプレッドシートを完成できます。

Power Estimatorファイルを生成するには、最初にQuartus IIソフトウェアでデザインをコンパイルする必要があります。コンパイルの完了後、Project メニューの Generate PowerPlay Early Power Estimator File(図 11–2)をクリックします。このコマンドを使用すると、Quartus IIソフトウェアは、Power Estimatorカンマ区切り値(.csv)ファイル(または、デバイス・ファミリによってはテキスト [.txt] ファイル)を書き出します。

Page 4: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–32008年 5月 暫定サポート

Quartus II Early Power Estimatorファイル

図 11–2. Generate PowerPlay Early Power Estimator Fileオプション

Quartus II ソフトウェアが Power Estimator ファイルを正常に生成すると、メッセージが表示されます(図 11–3)。

図 11–3. Generate PowerPlay Early Power Estimator Fileメッセージ

Power Estimator ファイルの名前は、<name of Quartus II project>_early_pwr.csvです。図 11–4は、Stratix IIデバイスを使用してQuartus IIソフトウェアの最新バージョンで生成されたPower Estimationファイルの内容の一例です。

Page 5: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–4 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

図 11–4. Power Estimationファイルの例

デバイス・ファミリによっては、Power Estimationファイルの名前は、<name of Quartus II project> _early_pwr.txtになります。

PowerPlay Early Power Estimator スプレッドシートには、PowerEstimationファイル内の情報を解析して、その情報をスプレッドシートに転送する Import Dataマクロが含まれています。マクロを使用しない場合は、データを Early Power Estimatorスレッドシートに手動で転送します。

既存のQuartus IIプロジェクトが全体的なデザインの一部しか表していない場合は、最終的なデザインで使用するリソースを手動で入力する必要があります。したがって、Power Estimationファイル情報をインポートした後に、このスプレッドシートを編集して他のデバイス・リソースを追加することができます。

8.0 Internal Build 170 02/28/2008 SJ Full Version

Page 6: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–52008年 5月 暫定サポート

Quartus II Early Power Estimatorファイル

PowerPlay Early Power Estimator File Generator CompilationレポートPower Estimationファイルが正しく生成されると、Compilation Reportセクションの下に PowerPlay Early Power Estimator File Generator レポートが作成されます。このレポートは、Summary、Settings、GeneratedFiles、Confidence Metric Details、Signal Activitiesなどのセクションに分割されています。

PowerPlay Early Power Estimator File Generatorレポートについて詳しくは、11–44ページの「PowerPlay Power Analyzer Compilation Report」を参照してください。

表 11–1 は、PowerPlay Early Power Estimator と PowerPlay PowerAnalyzerの主な違いを示しています。

表 11–1. PowerPlay Early Power Estimatorと PowerPlay Power Analyzerの比較 (1 /2)

特性 PowerPlay Early Power Estimator PowerPlay Power Analyzer

デザイン・サイクルのフェーズ

随時 フィッティング後

必要なツール スプレッドシート・プログラム /Quartus IIソフトウェア

Quartus IIソフトウェア

精度 中 中~非常に高い

データ入力 ● リソース使用量の見積もり● クロック要件● 環境条件● トグル・レート

● フィッティング後のデザイン● クロック要件● RTL(レジスタ転送レベル)シミュレーション結果(オプション)

● フィッティング後シミュレーション結果(オプション)

● ノートまたはエントリごとの信号アクティビティ(オプション)

● 信号アクティビティのデフォルト値● 環境条件

Page 7: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–6 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

Power Analyzerの結果は消費電力の見積もりであって、仕様ではありません。見積もりの目的は、デザインの電力バジェットの指針を確立するのを手助けすることです。アルテラでは、ボードで実際の消費電力を測定することを推奨しています。見積もりはデザインに大きく依存し、また PCBデザインの入力ベクタ量、品質、正確な負荷条件など、多くの変動要因にも依存するため、デバイス動作中の全ダイナミック電流を測定する必要があります。スタティック消費電力は経験的観測に基づくものであってはなりません。テストしたデバイスがワースト・ケースの動作を表していない場合があるため、Power Analyzer がレポートした値やデータシートに記載されている値を使用してください。

電力解析の種類

電力解析の用途と消費電力に影響を与える要因を理解すると、PowerAnalyzerを効果的に使用するのに役立ちます。電力解析は、以下の 2つの重要なプランニング要件を満たします。

■ 熱プランニング:設計者は、冷却ソリューションがデバイスから発生する熱を放散するのに十分であることを確認する必要があります。特に、計算されたジャンクション温度がデバイスの標準仕様の範囲内に収まる必要があります。

■ 電源プランニング:電源は十分な電流を供給することによって、デバイスの動作をサポートしなければなりません。

データ出力 (1) ● 全熱消費電力● 熱スタティック電力● 熱ダイナミック電力● オフチップ消費電力● 電圧供給電流 (2)

● 全熱電力● 熱スタティック電力● 熱ダイナミック電力● 熱 I/O電力● デザイン階層ごとの熱電力● ブロック・タイプ別の熱電力● クロック・ドメイン別の熱消費電力● オフチップ(非熱)消費電力● 電圧供給電流 (2)

表 11–1の注 :(1) デバイス・ファミリによっては一部の機能が使用できない場合があるため、Early Power Estimatorの出力はデバ

イス・ファミリによって異なります。(2) Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、およびMAX II

デバイス・ファミリでのみ使用できます。

表 11–1. PowerPlay Early Power Estimatorと PowerPlay Power Analyzerの比較 (2 /2)

特性 PowerPlay Early Power Estimator PowerPlay Power Analyzer

Page 8: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–72008年 5月 暫定サポート

消費電力に影響する要因

デバイスに供給される電力のほとんどはデバイスからの熱として放散されるため、この 2種類の解析は密接な関連性があります。ただし、状況によっては、この 2種類の解析が同じにならないこともあります。例えば、終端された I/O規格を使用すると、FPGAデバイスの電源から供給される電力の一部は、FPGAではなく、終端抵抗で放散されます。

また、電力解析では、デザインのアクティビティの推移をデバイスの消費電力に影響する要因として扱います。スタティック消費電力は、デザインの活動に関係なく消費される電力として定義されます。ダイナミック消費電力は、信号アクティビティまたはトグルのために消費される電力として定義されます。

電源プラニングにおいて、デザイン・サイクルの初期段階ではPowerPlay Early Power Estimatorを使用し、デザインが完了してデザインの電力要件の見積もりを入手するときは Quartus IIPower Analyzerレポートを使用することができます。

消費電力に影響する要因

この項では、消費電力に影響する要因について説明します。これらの要因について理解すると、Power Analyzerを使用して結果を有効に解釈することができます。

デバイスの選択電力特性はデバイス・ファミリごとに異なります。デバイス・ファミリの消費電力には、プロセス・テクノロジの選択、電源電圧、電気的デザイン、デバイス・アーキテクチャなど、多くのパラメータが影響します。例えば、Cyclone II デバイス・ファミリのアーキテクチャは、機能豊富な Stratix IIデバイス・ファミリよりもスタティック消費電力が少なくなるように設計されています。

消費電力は 1つのデバイス・ファミリ内でも異なります。同じファミリ内でも、デバイスが大きくなればトランジスタ数が増えるため、小型デバイスよりもスタティック消費電力が増加します。MAXデバイス・ファミリなど、グローバル配線アーキテクチャを採用するデバイスでは、デバイスのサイズに応じてダイナミック消費電力も増加することがあります。Stratix、Cyclone、およびMAX IIデバイスでは、デバイスのサイズが大きくなってもダイナミック消費電力が大幅に増加することはありません。

デバイス・パッケージの選択もデバイスの熱放散能力に影響します。これは、ジャンクション温度の制約を満たすために必要な冷却ソリューションの選択に影響する可能性があります。

Page 9: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–8 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

さらに、プロセス・バリエーションが消費電力に影響することもあります。サブスレッショルド・リーク電流はトランジスタのスレッショルド電圧の変化に伴って指数関数的に変動するため、プロセス・バリエーションは主にスタティック消費電力に影響します。したがって、スタティック消費電力については、経験的観察に頼るのではなく、デバイス仕様を調べることが重要です。プロセス・バリエーションは、ダイナミック消費電力にはあまり影響しません。

環境条件動作温度は、主にデバイスのスタティック消費電力に影響します。ジャンクション温度が高くなると、スタティック消費電力も大きくなります。使用するデバイスの熱電力および冷却ソリューションは、デバイスのジャンクション温度がそのデバイスの最大動作温度を超えないようにする必要があります。

ジャンクション温度に影響する主な環境パラメータは、冷却ソリューションと周囲温度です。

エアフロー

エアフローとは、デバイス付近の熱せられた空気がどれだけすばやく周囲温度の空気と入れ替わるかを示す尺度です。これは、ファンが使用されていない場合は「無風」として指定するか、システム内で使用されているファンの LFPM (linear feet per minute) 定格として指定することができます。空気流が大きくなると熱抵抗が減少します。

ヒート・シンクおよび放熱コンパウンド

ヒート・シンクを使用すると、大きな表面積が大気に露出するため、デバイスから周囲への熱伝導をより効率的に行うことができます。ヒート・シンクとデバイスの接触面の放熱コンパウンドも熱放散率に影響します。ケースから周囲までの熱抵抗(θCA)パラメータは、あるエアフローにおいて採用されるヒート・シンクと放熱コンパウンドの冷却能力を示します。より大きなヒート・シンクとより効果的な放熱コンパウンドを使用すると、θCAは減少します。

周囲温度

デバイスのジャンクション温度は、次式で表されます。

TJunction = TAmbient + PThermal · θJA

Page 10: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–92008年 5月 暫定サポート

消費電力に影響する要因

ここで、θJAは、デバイスのトランジスタから周囲までの全熱抵抗で、単位は℃ /W です。値 θJA は、ジャンクションからケース(パッケージ)までの熱抵抗(θJC)と冷却システムのケースから周囲までの熱抵抗(θCA)を加算した値と同じです。

ボードの熱モデル

ボードを貫通するパスの熱抵抗は、ジャンクションからボードまでの熱抵抗(θJB)と呼ばれます(単位は℃ /W)。この値は、ボード温度、チップ最上部の θJA、および周囲温度と組み合わせて、ジャンクション温度を計算するのに使用されます。

デザイン・リソース使用するデザイン・リソースは、消費電力に大きく影響します。

I/Oピンの数、タイプ、および負荷

出力ピンはオフチップ・コンポーネントをドライブするため、遷移あたりのダイナミック消費電力が高い高負荷キャパシタンスになります。終端された I/O規格では、一般的に出力ピンから一定(スタティック)電力が消費される外部抵抗が必要です。

ロジック・エレメント、乗算器エレメント、および RAMブロックの数とタイプ

より多くのロジック・エレメント(LE)、乗算器エレメント、およびメモリ・ブロックを持つデザインは、これらの回路エレメントが少ないデザインよりも多くの電力を消費する傾向にあります。また、各回路エレメントの動作モードもその消費電力に影響します。例えば、18×18 乗算を実行するデジタル信号処理(DSP)ブロックと積和演算を実行するDSPブロックでは、各遷移で充電される内部キャパシタンス量が異なるためダイナミック消費電力量が異なります。スタティック消費電力にも回路エレメントの動作モードがわずかに影響します。

グローバル信号の数とタイプ

グローバル信号ネットワークは、デバイスの多くの部分に広がり、キャパシタンスが高いため、ダイナミック消費電力が大きくなります。グローバル信号のタイプも重要です。例えば、Stratix IIデバイスは、デバイス全体またはデバイスの特定部分(リージョナル・クロック・ネットワークはデバイスの 1/4をカバーします)にまたがる、何種類かのグローバル・クロック・ネットワークをサポートします。クロック・ネットワークがこれより狭い領域しかカバーしない場合は、キャパシタンスが低くなるため、消費電力も小さくなる傾向があります。また、Quartus II ソ

Page 11: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–10 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

フトウェアはクロックの未使用ブランチを自動的にディセーブルするため、クロック・ネットワークでドライブされるロジック・アレイ・ブロック(LAB)の位置が影響することもあります。

信号アクティビティ消費電力の見積もりに影響する最後の重要な要因は、デザイン内での各信号の動作です。2 つの重要な統計情報として、トグル・レートと静的確率があります。

信号のトグル・レートとは、単位時間で信号が値を変化させる平均回数です。トグル・レートの単位は 1秒あたりの遷移で、遷移は 1から 0または 0から 1に変化します。

信号の静的確率とは、解析するデバイスの動作中に信号がロジック 1になっている時間の割合です。静的確率の範囲は、0(常にグランド)から 1(常にロジックHigh)までです。

ロジックと配線のために容量性負荷がより頻繁に充電されるため、ダイナミック消費電力はトグル・レートに比例して増加します。Quartus IIモデルでは、完全なレール間のスイッチングを想定しています。特に回路の出力 I/O ピンでトグル・レートが高いと、回路はダウンストリーム・キャパシタンスが完全に充電される前に遷移することがあります。その結果、Quartus II PowerPlay Power Analyzerによる消費電力は少し控え目な予測になります。

場合によっては、配線とロジック両方のスタティック消費電力は、それらの入力信号の静的確率の影響を受けることがあります。この影響は状態に依存するリークが原因であり、プロセス形状が小さいほど大きく影響します。この影響が消費電力の見積もりに重要と考えられる場合、Quartus II ソフトウェアはこの影響を 90 nm(またはそれ以下)のデバイスでモデル化します。スタティック消費電力は、出力 I/O規格が終端抵抗をドライブするときの I/Oピンにおけるロジック1または0の静的確率に応じても変動します。

電力解析から正確な結果を得るには、解析に使用する信号アクティビティが、デザインの実際の動作を表す必要があります。不正確な信号トグル・レート・データは、消費電力の見積もりに誤差が生じる最大の要因です。

Page 12: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–112008年 5月 暫定サポート

PowerPlay Power Analyzerフロー

PowerPlay Power Analyzerフロー

PowerPlay Power Analyzerでは、消費電力に影響する重要なすべてのデザイン要素を指定できるため、正確かつ代表的な消費電力の見積もりがサポートされます。図 11–5に、ハイレベルな Power Analyzerフローを示します。

図 11–5. PowerPlay Power Analyzerのハイレベル・フロー

図 11–5の注 :(1) 動作条件の指定は、Arria GXデバイス、Stratix IV、Stratix III、Stratix II、Stratix II GX、

Cyclone III、Cyclone II、HardCopy II、およびMAX IIデバイス・ファミリでのみ実行されます。

PowerPlay Power Analyzerでは、デザインを合成して、ターゲット・デバイスにフィッティングする必要があります。したがって、PowerAnalyzerは、ターゲット・デバイスと、そのデバイスでのデザインの配置配線方法を認識しています。各 I/Oセルで使用する電気的規格と、各I/O規格の容量性負荷をデザイン内で指定して I/Oの消費電力を正確に見積もる必要があります。

動作条件Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、および MAX II デバイス・ファミリでは、Quartus IIソフトウェアで電力解析の条件を指定できます。

次の設定は、Settingsダイアログ・ボックスで使用できます。

PowerPlayPower Analyzer

OperatingConditions (1)

User Design(After Fitting)

Power AnalysisReport

SignalActivities

Page 13: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–12 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

■ Device power characteristics— Power Analyzer で消費電力が標準的なシリコンまたは最大のシリコンを想定する必要があるかどうか。標準的な設定は、平均的なユニットで測定した経験的データと比較するのに便利です。ワースト・ケース・データでは、受け入れ可能なワースト・ケース・デバイスの限界が示されます。

■ Selectable Core Voltage—可変電圧サポートを備えたStratix IIIデバイスで使用できる Core Supply Voltage オプションを使用して、性能および電力要件に基づいて、デザインに適したコア電源電圧を選択することができます。デバイスの消費電力は電圧に大きく依存するため、デザインに適したコア電源電圧を選択することが非常に重要です。コア電源電圧は、ロジック・アレイ・ブロック(LAB)、メモリ LAB(MLAB)、DSP ファンクション、メモリ、インタコネクトなどのデバイス・ロジック・リソースに電力を供給します。

■ Environmental conditions and junction temperature— デフォルトでは、Power Analyzerは、指定された周囲温度とユーザーが選択した冷却ソリューションに基づいて、ジャンクション温度を自動的に計算します。より正確に解析するために、冷却ソリューションの熱抵抗を入力します。強制エアフローがないヒート・シンクなどの一部の冷却ソリューションでは、放散される熱電力量に応じて熱抵抗が変動します。デバイスの温度と周囲温度の差が大きくなると空気対流が増加し、熱抵抗が減少します。このようなケースで熱抵抗を入力するときは、デバイスから発生する熱電力と熱流(Q)が等しい場合に発生する熱抵抗を使用することが重要です。また、PowerPlay Power Analyzerにジャンクション温度を指定することもできます。ただし、アルテラでは PowerPlay Power Analyzerは、ジャンクション温度を計算してより正確な結果を提供するため、ジャンクション温度を指定することは推奨していません。

■ Board Thermal Modeling— Power Analyzer熱モデルでθJBを考慮する場合は、ボードの熱モデルを Typicalまたは Customに設定します。この機能を使用すると、熱電力をより正確に見積もることができます。

Typical に設定されたボードの熱モデルでは、選択されたパッケージとデバイスに基づいて、自動的に θJB に値が設定されます。必要なのは、ボードの温度を指定することだけです。Custom に設定されたボードの熱モデルを選択する場合は、θJBの値とボードの温度を指定する必要があります。PowerPlay Power Analyzer 熱モデルでθJB 抵抗を考慮しない場合は、Board thermal model オプションをNone(控え目)に設定します。この場合、ボードを貫通するパスと消費電力は考慮されておらず、より慎重な熱電力の見積もりが得られます。

Page 14: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–132008年 5月 暫定サポート

PowerPlay Power Analyzerフロー

Board thermal modelオプションを使用できるのは、プリセット冷却ソリューションがヒート・シンク・オプションまたはカスタム・ソリューションに設定された状態で、Auto compute junctiontemperature オプションを選択した場合のみです。ヒート・シンクのない冷却ソリューションを選択した場合、ボードを通じた熱伝導はジャンクション温度の計算で使用する θJA 値に含まれるため、このオプションはディセーブルされます。

信号アクティビティのデータ・ソースPower Analyzerは、信号アクティビティを指定するための柔軟なフレームワークを備えています。これは、電力解析時に代表的な信号アクティビティを使用する重要性を反映したものです。次のソースを使用して、信号アクティビティに関する情報を提供できます。

■ シミュレーション結果■ ユーザーが入力したノード、エンティティ、およびクロック・アサインメント

■ ユーザーが入力したデフォルトのトグル・レート・アサインメント■ ベクタなしの見積もり

PowerPlay Power Analyzerでは、信号アクティビティのデータ・ソースを信号単位でミックスしてマッチングさせることができます。図 11–6に、優先方式を示します。データ・ソースについては、以下の項で説明しています。

Page 15: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–14 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

図 11–6.信号アクティビティ・データ・ソースの優先方式

図 11–6の注 :(1) ベクタなしの見積もりは、Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone II、HardCopy II、

およびMAX IIデバイス・ファミリでのみ実行できます。

シミュレーション結果

Power Analyzerは、デザイン・シミュレーションで生成される波形を直接読み込みます。各信号の静的確率とトグル・レートは、シミュレーション波形から計算されます。電力解析は代表的な入力スティミュラスを使用してシミュレーションが生成された場合に、最も正確になります。

Power Analyzer は、以下のシミュレータが生成した結果を読み込みます。

■ Quartus II Simulator■ ModelSim® VHDL、Active HDL、ModelSim Verilog HDL、

ModelSim-Altera VHDL、ModelSim-Altera Verilog■ NC-Verilog、NC-VHDL■ VCS

信号アクティビティおよび静的確率の情報は、11–10 ページの「信号アクティビティ」で説明する Signal Activity ファイル(.saf)に保存されるか、Value Change Dump File(.vcd)から得ることができます。Quartus IIシミュレータは、.safファイルまたは .vcd ファイルを生成し、このファイルが後で Power Analyzerに読み込まれます。

Node or entityassignment?

Simulationdata?

Is primaryinput?

Vectorless supported and

enabled?

Use vectorlessestimation

Use defaultassignment

Use simulationdata

Use node orentity assignment

Start

Yes Yes Yes No

YesNoNoNo

(1)

Page 16: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–152008年 5月 暫定サポート

モジュラー・デザイン・フローでのシミュレーション・ファイルの使用

サードパーティ・シミュレータの場合は、Quartus II EDA Tool Settingsfor Simulationを使用して Generate Value Change Dumpファイル・スクリプトを指定します。これらのスクリプトは、サードパーティ・シミュレータにシミュレーションされた波形をエンコードする.vcdファイルを生成するよう指示します。Quartus II Power Analyzerは、このファイルを直接読み込んで、各信号のトグル・レートと静的確率データを得ます。

上記以外のサードパーティ EDA シミュレータは、.vcd ファイルを生成することができ、Power Analyzerがこのファイルを使用できます。これらのシミュレータでは、適切な .vcdファイルを生成するシミュレーション・スクリプトを手動で作成する必要があります。

電力解析のために作成された .saf または .vcd ファイルを使用し、Settings ダイアログボックスの Fitter Settingsページにある PowerPlay power optimization リストの適切な設定を利用して、フィッティング中の電力の設計を最適化することができます。

電力の最適化について詳しくは、「Quartus IIハンドブック Volume 2」の「消費電力の最適化」の章を参照してください。

モジュラー・デザイン・フローでのシミュレーション・ファイルの使用

一般的なデザイン方法は、モジュラー・デザインまたは階層デザインを作成することです。この方法では、各デザイン・エンティティを個別に作成し、そのエンティティをより上位レベルのエンティティでインスタンス化して、完全なデザインを形成します。シミュレーションは、完成したデザインまたは各モジュラー・デザインに対して検証のために実行されます。Quartus II PowerPlay Power Analyzer Tool は、これらのシミュレーション・ファイルから生成された信号アクティビティを読み込むときに、モジュラー・デザイン・フローをサポートします(図 11–7を参照)。

Page 17: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–16 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

図 11–7.モジュラー・シミュレーション・フロー

シミュレーション・ファイルを指定するときに、シミュレーション・ファイル(.vcdまたは .safファイル)から生成された信号アクティビティを特定のデザイン・エンティティのために Power Analyzer にインポートできるように、関連するデザイン・エンティティ名を付けることができます。また、PowerPlay Power Analyzer Tool は、それぞれが関連するデザイン・エンティティ名を持つ複数の .safファイルの指定もサポートしており、部分的なデザイン・シミュレーションを 1つの完全なデザイン電力解析に統合することができます。デザインに複数の .safファイルを指定する場合は、複数のシミュレーション・ファイルに同じ信号の信号アクティビティ情報を含めることが可能です。複数の .safファイルが同じデザイン・エンティティに適用される場合、電力解析には各 .safファイルの等価重み付け算術平均値の信号アクティビティが使用されます。また、複数のシミュレーション・ファイルがデザイン階層構造内のさまざまなレベルのデザイン・エンティティに適用される場合、電力解析には最も詳細なデザイン・エンティティに適用されるシミュレーション・ファイルから得られた信号アクティビティが使用されます。

図 11–8 に、階層デザインの例を示します。デザイン Top は、3 つの8b/10bデコーダで構成されており、続いてマルチプレクサがあります。マルチプレクサの出力は再びエンコードされてデザインから出力されます。また、8b/10bデコーディング・エラーを処理するエラー処理モジュールもあります。Top という名前のトップレベル・モジュールには、デザインのトップレベル・エンティティと、別のモジュールの一部として定義されていない任意のロジックが自動的に含まれます。トップレベル・モジュールのデザイン・ファイルは、その下にある階層構造エンティティの単なるラッパーになることも、独自のロジックを含むこともできます。以下の使用例は、デザインをシミュレーションし、.saf ファイルをPowerPlay Power Analyzer Tool にインポート可能な一般的な方法を示しています。

ParameterInput

VideoProcessing

ColumnDriver

MemoryInterface

VideoSource

Interface

TimingControl

system.vcd

video_gizmo.saf

output_driver.vcd

video_input.vcd

Page 18: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–172008年 5月 暫定サポート

モジュラー・デザイン・フローでのシミュレーション・ファイルの使用

図 11–8.階層デザインの例

完全なデザイン・シミュレーションTop デザイン全体をシミュレーションし、サードパーティ・シミュレータを使用する場合は .vcd ファイルを生成し、Quartus II Simulator を使用する場合は .safまたは .vcdファイルを生成できます。.vcdまたは .safファイルを(エンティティTopを指定して)Power Analyzerにインポートできます。結果的な電力解析では、decode[1-3]、err1、mux1、encode1 などのサブモジュールに適用される信号アクティビティ情報を含めて、生成された .vcdファイルまたは .safファイルからのすべての信号アクティビティ情報が使用されます。

モジュラー・デザイン・シミュレーションデザイン Topのサブモジュールを個別にシミュレーションし、生成されたすべての .safファイルを Power Analyzerにインポートすることができます。例えば、8b10b_decのシミュレーションは、マルチプレクサ、8b10b_rxerr、および 8b10b_encはもとより、全体のデザインとも独立して実行することができます。次に、適切なインスタンス名を指定して、各シミュレーションから生成された .vcdファイルまたは .safファイルをインポートできます。例えば、シミュレーションによって生成されたファイルが 8b10b_dec.vcd、8b10b_enc.vcd、8b10b_rxerr.vcd、およびmux.safの場合、表 11–2のインポート指定が使用されます。

表 11–2.インポート指定(1 /2)

ファイル名 エンティティ8b10b_dec.vcd Top|8b10b_dec:decode1

8b10b_dec.vcd Top|8b10b_dec:decode2

8b10b_dec:decode1

8b10b_dec:decode2

8b10b_dec:decode3

8b10b_rxerr:err1

mux:mux1

8b10b_enc:encode1

Top

Page 19: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–18 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

結果として得られた電力解析では、アサインメントされたエンティティに各ファイル内のシミュレーション・ベクタが適用されます。シミュレーションでは、ピンおよび機能ブロックの出力に信号アクティビティが供給されます。エンティティ・インスタンスへの入力がデザイン全体の入力ピンの場合、そのインスタンスに関連付けられているシミュレーション・ファイルは、そのインスタンスの入力に信号アクティビティを供給しません。例えば、mux1などのエンティティへの入力には、デコード・エンティティのいずれかの出力に信号アクティビティが指定されます。

同じエンティティでの複数のシミュレーションデザイン全体またはデザインの特定モジュールに対して複数のシミュレーションを実行できます。例えば、“Top”デザインの検証プロセスでは、3 つの異なるシミュレーション・テスト・ベンチ(1 つは通常動作用、2つはコーナー・ケース用)を使用できます。これらの各シミュレーションでは、個別の .vcdおよび .safファイルが生成されます。このケースでは、同じトップレベル・エンティティに対して異なる .vcd または.safファイルの名前を適用します(表 11–3)。

結果的な電力解析では、各シミュレーション・ファイルから計算された信号アクティビティの算術平均を使用して、最終的に使用する信号アクティビティを取得します。したがって、信号 err_out のトグル・レートが normal.safでは 0トグル /秒、corner1.vcdでは 50トグル /秒、および corner2.vcdでは 70トグル /秒の場合、電力解析で使用する最終的なトグル・レートは 40トグル /秒になります。

8b10b_dec.vcd Top|8b10b_dec:decode3

8b10b_rxerr.vcd Top|8b10b_rxerr:err1

8b10b_enc.vcd Top|8b10b_enc:encode1

mux.saf Top|mux:mux1

表 11–3.複数のシミュレーション・ファイル名およびエンティティ

ファイル名 エンティティnormal.saf Top

corner1.vcd Top

corner2.vcd Top

表 11–2.インポート指定(2 /2)

ファイル名 エンティティ

Page 20: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–192008年 5月 暫定サポート

モジュラー・デザイン・フローでのシミュレーション・ファイルの使用

オーバーラップ・シミュレーションTop デザイン全体に対してシミュレーションを実行し、8b10b_rxerrなどのサブモジュールに対して、より徹底的なシミュレーションを実行できます。表 11–4に、オーバーラップ・シミュレーションのインポート指定を示します。

この場合、error_cases.vcd からの信号アクティビティは、生成された.safファイル内のすべてのノードに対して使用され、full_design.vcdからの信号アクティビティは、error_cases.vcd内のノードとオーバーラップしないノードに対してのみ使用されます。一般に、オーバーラップ・ノードに対する信号アクティビティは、より詳細な階層(最下位レベル・モジュール)を使用して生成されます。

部分的シミュレーション全シミュレーション時間を信号アクティビティの計算に適用できないシミュレーションを実行できます。例えば、10,000クロック・サイクルの間シミュレーションを実行し、最初の 2,000 クロック・サイクルの間はチップをリセットすると仮定します。信号アクティビティの計算が10,000クロック・サイクル全体にわたって実行された場合、トグル・レートは一般にそれらの安定状態値のわずか 80%です(チップはシミュレーションの最初の 20% ではリセットされるため)。このケースでは、電力解析のために .vcd ファイルの有用な部分を指定する必要があります。Limit VCD Period オプションを使用すると、信号アクティビティ計算の実行時に開始時刻と終了時刻を指定できます。

ノード名の一致に関する検討事項トップレベル・エンティティ以外のエンティティに .safまたは .vcdファイルを適用すると、ノード名の不一致が生じます。モジュラー・デザイン・フローでは、異なる Quartus IIソフトウェア・プロジェクトで作成されたゲート・レベル・シミュレーション・ファイルで、それらのノード名が現在の Quartus IIプロジェクトと一致しないことがあります。

表 11–4.オーバーラップ・シミュレーションのインポート指定

ファイル名 エンティティfull_design.vcd Top

error_cases.vcd Top|8b10b_rxerr:err1

Page 21: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–20 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

例えば、ファイル 8b10b_enc.vcd(8b10b_encという名前の別のプロジェクトで生成され、8b10b エンコーダをシミュレーションするファイル)があり、この .vcd ファイルを Top という別のプロジェクトにインポートする場合、.vcdファイルが Topプロジェクトの 8b10b_encモジュールに適用されると、名前の不一致が生じることがあります。これは、8b10b_enc.vcdファイル内のすべての組み合わせノードに Topプロジェクトで異なる名前が付けられることがあるからです。

名前の不一致を回避するには、レジスタ転送レベル(RTL)シミュレーション・データのみを使用するか(この場合、通常はレジスタ名は変更されない)、ノード名がゲート・レベル・シミュレーションとともに保持されるインクリメンタル・コンパイル・フローを使用します。

最高の精度を達成するために、アルテラではインクリメンタル・コンパイル・フローを使用してデザインのノード名を保持することを推奨しています。

インクリメンタル・コンパイル・フローについて詳しくは、Quartus IIハンドブック Volume 1」の「階層およびチーム・ベース・デザインのための Quartus IIインクリメンタル・コンパイル」の章を参照してください。

グリッチ・フィルタリングPower Analyzerは、グリッチを 2つの信号が遷移する間隔が接近し過ぎて、ロジックや配線回路が応答できないほどの速さで発生するパルス(グリッチ)として定義しています。伝送遅延モデル・シミュレータ(Quartus IIシミュレータのデフォルト・モード)の出力は、一般にはいくつかの信号のグリッチを含んでいます。デバイスのロジックおよび配線構造は、デバイス・ファミリに応じて、数十から数百ピコ秒のグリッチをフィルタするローパス・フィルタを形成します。

サードパーティ・シミュレータによっては、デフォルトとして伝送遅延モデルとは異なるシミュレータ・モデルを使用しています。モデルが異なると、信号アクティビティの見積もりと消費電力の見積もりに違いが生じます。ModelSim デフォルト・モデルである慣性遅延モデルは、伝送遅延モデルよりも多くのグリッチをフィルタするため、このモデルを使用すると、通常は消費電力の見積もりが低くなります。

アルテラでは、サードパーティ・シミュレータで Quartus IIグリッチ・フィルタリング・サポートを使用する場合は、伝送シミュレーション・モデルを使用することを推奨しています。慣性シミュレーション・モデルを使用する場合、シミュレーション・グリッチ・フィルタリングの効果はほとんどありません。

Page 22: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–212008年 5月 暫定サポート

モジュラー・デザイン・フローでのシミュレーション・ファイルの使用

特定のシミュレータにシミュレーション・モデル・タイプを設定する方法について詳しくは、Quartus II Helpを参照してください。

シミュレータでのグリッチ・フィルタリングでは、ある LE(または他の回路エレメント)出力のグリッチをダウンストリーム回路エレメントへの伝播からフィルタして、グリッチがシミュレーション結果に影響を与えないようにすることができます。これにより、ある信号のグリッチによってすべてのダウンストリーム・ロジックで非物理的グリッチが生成されて、信号トグル・レートが高くなり過ぎたり、消費電力見積もりが高くなり過ぎないようにします。乗算器や XOR 関数を実装するようにコンフィギュレーションされたロジック・セルを含め、それぞれの入力遷移によって出力が遷移する回路エレメントでは、特にグリッチが発生しやすくなっています。したがって、このような機能を多数備えた回路では、グリッチ・フィルタリングを使用しないと、消費電力の見積もりが高くなり過ぎる可能性があります。

アルテラでは、グリッチ・フィルタリング機能を使用して、最も正確な消費電力の見積もりを得ることを推奨しています。.vcdの場合、PowerAnalyzerフローでは 2種類のグリッチ・フィルタリングをサポートしており、その両方とも消費電力の見積もりに推奨されています。第 1のグリッチ・フィルタリングでは、グリッチはシミュレーション時にフィルタされます。サポートされているサードパーティ・シミュレータについて、Quartus II ソフトウェアで、このグリッチ・フィルタリング・レベルをイネーブルするには、以下のステップを実行します。

1. Assignments メニューの EDA Tool Settings をクリックします。Settingsダイアログ・ボックスが表示されます。

2. Categoryリストの Simulationを選択します。Simulationページが表示されます。

3. シミュレーションに使用するために Tool Nameを選択します。

4. Enable glitch filteringオプションをオンにします。

Quartus II シミュレータを使用して、Quartus II ソフトウェアで、このグリッチ・フィルタリングのレベルをイネーブルするには、11–26 ページの「Quartus IIシミュレータを使用した SAFまたは VCDファイルの生成」を参照してください。

Page 23: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–22 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

第 2レベルのグリッチ・フィルタリングは、サードパーティ・シミュレータまたは Quartus II シミュレータで生成された .vcd ファイルを PowerAnalyzerが読み込む間に発生します。このレベルのグリッチ・フィルタリングをイネーブルするには、以下の手順を実行します。

1. Assignmentsメニューの Settingsをクリックします。Settingsダイアログ・ボックスが表示されます。

2. Categoryリストの PowerPlay Power Analyzer Settingsを選択します。PowerPlay Power Analyzer Settingsページが表示されます。

3. Input File(s)で、Perform glitch filtering on VCD filesオプションをオンにします。

アルテラでは、両方の形式のグリッチ・フィルタリングを使用することを推奨しています。

.vcdファイル・リーダーは、シミュレーション時に実行されたフィルタリングに対する補足的フィルタリングを実行しますが、通常は効果的ではありません。.vcdファイル・リーダーは、ロジック・ブロックでのグリッチを取り除くことができますが、特定のグリッチによるダウンストリーム・ロジックおよび配線への影響を判断する方法はなく、グリッチの影響を完全に排除できない場合があります。シミュレーション時にグリッチをフィルタすると、ダウンストリーム配線およびロジックの切り換えを自動的に回避できます。

デザイン検証のためにシミュレーションを実行する場合(QuartusPowerPlay Power Analyzerへの入力を生成するためではなく)、アルテラではグリッチ・フィルタリングをオフにしておくことを推奨しています。これにより、機能的な観点から、最も厳密で慎重なシミュレーションが生成されます。Quartus IIPowerPlay Power Analyzer への入力を生成するためにシミュレーションを実行する場合、アルテラではグリッチ・フィルタリングをオンにして、最も正確な消費電力の見積もりを生成することを推奨しています。

ノードおよびエンティティのアサインメント特定のトグル・レートと静的確率をデザイン内の個々のノードおよびエンティティに割り当てることができます。これらのアサインメントは最も優先度が高いため、他のあらゆる信号アクティビティ・ソースからのデータよりも優先されます。

Page 24: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–232008年 5月 暫定サポート

モジュラー・デザイン・フローでのシミュレーション・ファイルの使用

Assignment Editor またはツール・コマンド言語(Tcl)コマンドを使用して、Power Toggle Rateおよび Power Static Probabilityアサインメントを作成します。Power Toggle Rate アサインメントを使用してトランザクションでの絶対トグル・レートとして電力トグル・レートを指定するか、Power Toggle Rate Percentageアサインメントを使用して、階層レベルで作成されたより具体的なアサインメントに対する割り当て済みノードのクロック・ドメインを基準とするトグル・レートを指定できます。

Power Toggle Rate Percentageアサインメントが使用され、所定のノードにクロック・ドメインが存在しない場合は、警告が発行されアサインメントは無視されます。

f Quartus IIソフトウェアでのAssignment Editorの使用方法について詳しくは、「Quartus IIハンドブック Volume 2」の 「Assignment Editor」 の章を参照してください。

この方法は、ユーザーが解析中の信号またはエンティティについて具体的な知識を持つ特殊なケースの信号に対して使用するのが適切です。例えば、100 MHzデータ・バスまたはメモリ出力が、本質的にランダムな(時間と相関関係がない)データを生成する場合、静的確率として 0.5、トグル・レートとして 5,000万遷移 /秒を直接入力できます。

双方向 I/Oピンは特別に扱われます。あるピンの入力ポートと出力パッドの組み合わせは、同じ名前を共有します。ただし、これらのポートが同じ信号アクティビティを共有するとは限りません。信号アクティビティ・アサインメントを読み込むために、Power Analyzerは双方向信号が出力としてコンフィギュレーションされている場合は<node_name~output> という名前を作成し、信号が入力としてコンフィギュレーションされている場合は<node_name~result>という名前を作成します。例えば、デザインに MYPINという名前の双方向ピンがある場合、組み合わせ入力に対するアサインメントでは MYPIN~resultという名前が使用され、出力パッドに対するアサインメントではMYPIN~outputという名前が使用されます。

Assignment Editor でロジック・アサインメントを作成するときに、Node Finderに MYPIN~resultおよび MYPIN~outputのノード名は存在しません。したがって、ロジック・アサインメントを作成するには、2 つの識別するためのノード名を手動で入力して、双方向ピンの入力および出力ポートに対する具体的なアサインメントを作成しなければなりません。

Page 25: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–24 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

クロック・ノードに対するタイミング・アサインメント

クロック・ノードでは、シミュレーション・データもユーザーが入力した信号アクティビティ・データも使用できない場合、Power Analyzerはタイミング要件を使用してトグル・レートを得ます。

fMAX要件は 1秒あたりのフル・サイクルを指定しますが、各サイクルは立ち上がり遷移と立ち下がり遷移を表します。例えば、クロック fMAXの要件が 100 MHzの場合、これは 2億遷移 /秒に相当します。

デフォルトのトグル・レートのアサインメントデザインのプライマリ入力と他のすべてのノードに対して、デフォルトのトグル・レートを指定できます。デフォルトのトグル・レートは、信号アクティビティ・データを指定する方法がない場合に使用されます。

トグル・レートは、絶対項(1秒あたりの遷移数)で指定することも、各特定ノードで有効なクロック・レートの分数として指定することもできます。あるクロックのトグル・レートは、そのクロックのタイミング設定から得られます。例えば、fMAX の制約が 100 MHz、デフォルトの相対トグル・レートが 20%でクロックが指定された場合、このクロック・ドメイン遷移でのノードのうちクロック周期の 20%のノード、つまり 1秒あたり 2000 万遷移が発生します。場合によっては、当該ノードのクロック・ドメインがないか曖昧であるため、Power Analyzerでノードのクロック・ドメインを判断できないことがあります。このようなケースでは、Power Analyzerは代わりにトグル・レートとしてゼロを使用して報告します。

ベクタなしの見積もり一部のデバイス・ファミリの場合、Power Analyzerは、シミュレーションやユーザーが入力した信号アクティビティ・データがなくても、ノードにおける信号アクティビティの見積もりを自動的に得ます。ベクタなしの見積もりは、Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、および MAX II デバイス・ファミリで、デフォルトで実行されてイネーブルされます。ベクタなしの見積もりでは、ノードに供給するすべてのノードの信号アクティビティとノードで実装される実際のロジック機能に基づいて、ノードの信号アクティビティを静的に見積もります。ベクタなしの見積もりは、PowerPlayPower Analyzer の Settings ダイアログ・ボックスでディセーブルにできます。ベクタなしの見積もりは、イネーブルにされている場合には、デフォルトのトグル・レートよりも優先されます。ベクタなしの見積もりは、クロック・アサインメントよりも優先されません。

Page 26: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–252008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

ベクタなしの見積もりでは、プライマリ入力の信号アクティビティを得ることはできません。ベクタなしの見積もりは、一般に組み合わせノードに対しては正確ですが、登録されたノードに対しては正確ではありません。したがって、良好な精度を達成するには、少なくとも登録されたノードと I/O ノードのシミュレーション・データが必要です。

PowerPlay Power Analyzerの使用

PowerPlay Power Analyzerを使用するすべてのフローで、最初にデザインを合成し、次にそのデザインをターゲット・デバイスにフィッティングします。デザインのすべてのクロックにタイミング・アサインメントを提供するか、シミュレーション・ベースのフローを使用してアクティビティ・データを生成する必要があります。各デバイスの入力または出力で使用する I/O規格と、各出力の容量性負荷をデザインで指定する必要があります。

一般的な解析フローこの項で示す解析フローは、PowerPlay Power Analyzer で使用できます。ただし、ベクタなしアクティビティ見積もりは一部のデバイス・ファミリでのみ使用できます。

完全なフィッティング後のネットリスト(タイミング)シミュレーションからの信号アクティビティ

供給される入力ベクタが標準的なデザイン動作を表している場合、すべてのノード・アクティビティが実際のデザイン動作を反映するため、このフローは最も精度が高くなります。シミュレーションでグリッチをフィルタした場合、結果が良くなります。この方法の欠点は、シミュレーション時間が長くなる可能性があることです。

ベクタなしの見積もりで補足される、RTL(機能)シミュレーションからの信号アクティビティ

このフローでは、シミュレーションはデザイン内のすべてのピンおよびレジスタに対するトグル・レートと静的確率を提供します。ベクタなしの見積もりは、ピンとレジスタ間のすべての組み合わせノードの値を埋めます。適切なピンおよびレジスタ・データが供給される場合、ベクタなしの見積もりは正確なので、この方法を使用すると良好な結果が得られます。このフローは通常、サードパーティ RTL Simulatorのユーザーにコンパイル時間のメリットを提供します。

Page 27: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–26 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

RTLシミュレーションでは、合成中に一部のレジスタ名が失われることがあるため、フィッティング後のネットリスト内のすべてのレジスタに信号アクティビティが供給されない場合があります。例えば、合成によってステート・マシンとカウンタが自動的に変換され、これらの構造内のレジスタ名が変更されることがあります。

ベクタなしの見積もりからの信号アクティビティ、ユーザーが供給した入力ピン・アクティビティ

このオプションを使用すると、レジスタのベクタなしの見積もりが全体として正確でないため、精度が低くなります。

ユーザ・デフォルトのみからの信号アクティビティ

このオプションを使用すると、最も精度が低くなります。

Quartus IIシミュレータを使用した SAFまたは VCDファイルの生成Quartus II シミュレータを使用したタイミングまたは機能シミュレーションの実行中、.saf または .vcd ファイルを生成できます。これらのファイルには、ベクタ波形ファイル(.vwf)またはベクタ・ファイル(.vec)に入力されるシミュレーション・ベクタに基づいて、接続される各出力信号のトグル・レートと静的確率が保存されます。.safまたは .vcdファイルを PowerPlay Power Analyzer への入力として使用して、デザインの消費電力を見積もることができます。

結果の精度をより向上させるために、アルテラでは PowerPlayPower Analyzerへの入力としてQuartus IIシミュレータから作成された .safファイルを使用することをお勧めします。

デザインに対する .saf または .vcd ファイルを作成するには、以下のステップを実行します。

1. Assignmentsメニューの Settingsをクリックします。Settingsダイアログ・ボックスが表示されます。

2. Category リストの Simulator Settings を選択します。SimulatorSettingsページが表示されます(図 11–9)。

Page 28: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–272008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

図 11–9. Simulator Settingsページ

3. Simulation modeリストで、Timingまたは Functionalを選択します。この 2種類のシミュレーション・モードの精度の違いについては、11–25ページの「一般的な解析フロー」を参照してください。

4. (オプション)More Settings をクリックします。More SimulatorSettingsダイアログ・ボックスが表示されます。

5. (オプション)グリッチ・フィルタリングをオンにします。グリッチ・フィルタリングをオンにするには、Glitch filtering options リストで、Alwaysを選択します。

6. Categoryリストで、 アイコンをクリックしてSimulator Settingsおよび を展開し、Simulation Output Files を選択します(図 11–10)。

Page 29: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–28 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

図 11–10. Settingsダイアログ・ボックスの Simulator Output Filesページ

7. Generate Signal Activity Fileをオンにし、.safファイルのファイル名を入力します。

Quartus IIシミュレータおよび .safファイルの作成方法について詳しくは、「Quartus IIハンドブック Volume 3」の「Quartus IIシミュレータ」の章を参照してください。

Page 30: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–292008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

Quartusシミュレータから .vcdファイルを生成するときは、必ず all nodesを入力ベクタ波形ファイルに追加してください。ベクタ・ファイルに追加されたノードのみ、Quartus で生成される .vcdファイルに出力されます。これは、.safファイルの生成時には当てはまりません。Quartus II シミュレータは、スティミュラス・ファイルにシミュレーションのための入力ベクタしか含まれていない場合でも、デザインのすべての内部ノードを含めて、.safファイルを作成します。

8. (オプション)Signal Activity File Options をクリックします。Signal Activity File Optionsダイアログ・ボックスが表示されます(図 11–11)。

図 11–11. Signal Activity File Optionsダイアログ・ボックス

9. (オプション)Limit signal activity period オプションをオンにし、信号アクティビティの計算に使用するシミュレーション期間を指定します。

消費電力の見積もりは、全シミュレーション時間で実行することも、その一部でのみ実行することもできます。したがって、テストベンチを修正することなく、シミュレーション全体のさまざまなポイントで消費電力を調べることができます。また、この機能は、デザインの状態を初期化するために複数のクロック・サイクルが必要であるが、初期化フェーズ中ではなく、デザインの通常動作中にのみ信号アクティビティを測定する場合も便利です。Limit signal activityperiodオプションをオンにすると、Signal Activity File Optionsダイアログ・ボックスに開始時刻と終了時刻を指定できます。この時間中にのみシミュレーション情報が使用され、トグル・レートと静的確率が計算されます。時間間隔が指定されていない場合、シミュレーション全体が信号アクティビティ・データの計算に使用されます。

Page 31: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–30 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

10. シミュレーションが完了すると、指定したファイル名で .safファイルが生成され、メイン・プロジェクト・ディレクトリに保存されます。

Quartus II ソフトウェアでシミュレーションを実行する方法について詳しくは、Quartus II Helpを参照してください。

サードパーティ・シミュレータを使用した VCDファイルの生成Model Technology™ ModelSim® ソフトウェアなどの他の EDA シミュレーション・ツールを使用してシミュレーションを実行し、.vcdファイルを作成できます。このファイルを PowerPlay Power Analyzer への入力として使用して、デザインの消費電力を見積もることができます。これを行うには、Quartus IIソフトウェアで、サードパーティ・シミュレータへの入力として使用するスクリプト・ファイルを生成する必要があります。このスクリプトはサードパーティ・シミュレータに、すべての出力信号を含む .vcdファイルを生成するよう指示します。サポートされているサードパーティ・シミュレータについて詳しくは、11–14 ページの「シミュレーション結果」を参照してください。

デザインの.vcdファイルを作成するには、以下のステップを実行します。

1. Assignments メニューの EDA Tool Settings をクリックします。Settingsダイアログ・ボックスが表示されます。

2. Categoryリストの Simulationを選択します。図 11–12に示すとおり、Simulationページが表示されます。

Page 32: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–312008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

図 11–12. Settingsダイアログ・ボックスの Simulationページ

3. Tool name リストで、適切な EDA シミュレーション・ツールを選択します。

4. Format for output netlist リストで、VHDL または Verilog を選択します。

5. Generate Value Change Dump (VCD) file scriptをオンにします。

これによって、Map illegal HDL characterおよび Enable glitchfilteringオプションがオンになります。

6. (オプション)Map illegal HDL character を使用すると、すべての信号が正当な名前を持ち、信号のトグル・レートを後で PowerPlayPower Analyzerで使用できるようになります。

Page 33: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–32 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

7. (オプション)Enable glitch filtering をオンにすると、シミュレーション用の EDA ネットリストを生成するときに、グリッチ・フィルタリング・ロジックが出力になります。このオプションは、.vcdファイル・スクリプトを生成するかどうかに関係なく常に使用できます。グリッチ・フィルタリングについて詳しくは、11–20 ページの「グリッチ・フィルタリング」を参照してください。

ModelSimを使用してシミュレーションを実行すると、vsimコマンドに与えられる +nospecify オプションで、ModelSim のspecify パス遅延およびタイミング・チェックがディセーブルされます。Simulation ページのグリッチ・フィルタリングをイネーブルすることにより、シミュレーション・モデルにはspecifyパス遅延が含まれます。したがって、グリッチ・フィルタリングがイネーブルされ、+nospecifyオプションが指定されている場合、ModelSim はデザインをシミュレーションできません。アルテラでは、消費電力見積もりの正確なシミュレーションが得られるよう、ModelSim vsimコマンドから +nospecifyオプションを取り除くことを推奨しています。

8. Script Settings をクリックします。図 11–13 に示すとおり、ScriptSettingsダイアログ・ボックスが表示されます。

図 11–13. Script Settingsダイアログ・ボックス

.vcdファイルに出力すべき信号を選択します。All signalsを選択すると、生成されたスクリプトによってサードパーティ・シミュレータは、接続されているすべての出力信号を .vcdファイルに書き込みます。All signals except combinational lcell outputsを選択すると、生成されたスクリプトはサードパーティ・シミュレータに、ロジック・セルの組み合わせ出力を除く、接続されているすべての出力信号を .vcdファイルに書き込むよう指示します。

Page 34: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–332008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

ファイル・サイズが巨大になる可能性があるため、ファイルに全部の出力信号を書き込みたくない場合もあります(ファイル・サイズは、監視中の出力信号数と遷移回数に依存します)。

9. OKをクリックします。

10. Design instance nameボックスにテスト・ベンチの名前を入力します。

11. Quartus II ソフトウェアでデザインをコンパイルし、必要な EDAネットリストと、.vcdファイルを生成するようサードパーティ・シミュレータに指示するスクリプトを生成します。

NativeLinkの用途について詳しくは、「Quartus IIハンドブック Volume3」の「Section I. Simulation」を参照してください。

12. サードパーティ EDA シミュレーション・ツールでシミュレーションを実行します。生成されたスクリプトをシミュレーション・ツールで呼び出してから、シミュレーションを実行します。シミュレーション・ツールは .vcdファイルを生成して、プロジェクト・ディレクトリに置きます。

ModelSimソフトウェアからの VCDファイルの生成

次の例は、ModelSimソフトウェアで .vcdファイルを正常に作成するためのステップごとの操作方法を示しています。

1. Quartus IIソフトウェアで、Assignmentsメニューの Settingsをクリックします。

2. Settingsダイアログ・ボックスの Simulator Settingsページで、ToolName リストにおいて適切な ModelSim selection を選択して、Generate Value Change Dump File Scriptオプションをオンにします。

3. .vcdファイルを生成するには、フル・コンパイルを実行します。

4. ModelSim ソフトウェアでシミュレーションに必要なファイルをコンパイルします。

5. ツール・メニューの Start Simulation をクリックしてデザインをロードするか、vsimコマンドを使用します。

Page 35: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–34 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

6. 以下のコマンドを使用して、ステップ 3 で作成した Quartus II.vcdスクリプトを供給します。 source <design>_dump_all_vcd_nodes.tcl

7. シミュレーション(例えば、run 2000nsまたはun -all)を実行します。

8. 必要な場合は、quit -simコマンドを使用してシミュレーションを終了します。

9. ModelSim ソフトウェアを終了します。ソフトウェアを終了しない場合、ModelSimソフトウェアが .vcdファイルの書き込みプロセスを不適切に終了させて、.vcdファイルが壊れることがあります。

他のサードパーティ EDAシミュレーション・ツールでの .vcdファイルの作成方法について詳しくは、「Quartus II ハンドブック Volume 3」のSection I. Simulationを参照してください。

Quartus II GUIからの PowerPlay Power Analyzerの実行Quartus II GUIを使用して PowerPlay Power Analyzerを実行するには、以下の手順を実行します。

1. Assignmentsメニューの Settingsをクリックします。Settingsダイアログ・ボックスが表示されます。

2. 図 11–14に示すとおり、Categoryリストの PowerPlay Power AnalyzerSettingsを選択します。

Page 36: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–352008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

図 11–14. PowerPlay Power Analyzer Settings

3. (オプション)PowerPlay Power Analyzerへの入力として、.safファイルまたは .vcd ファイルのいずれかあるいは両方を使用する場合は、Use input file(s) to initialize toggle rates and staticprobabilities during power analysisをオンにします。

(オプション)Edit ボタンを使用すると、リストから選択したファイルの設定を変更できます。Removeボタンを使用すると、選択したファイルをリストから削除できます。

4. Addをクリックします。図 11–15に示すとおり、Add Power InputFileダイアログ・ボックスが表示されます。

Page 37: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–36 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

図 11–15. Add Power Input Fileダイアログ・ボックス

5. File nameフィールドのブラウズ・ボタンをクリックして、.safファイルまたは .vcdファイルを追加します。

6. Entityフィールドでは、入力した Power Inputファイルを適用するデザイン・エンティティ(階層)を指定できます。エンティティを入力するには、このボックスにタイプするかデザイン・エンティティのリストを参照します。デザイン・エンティティを参照するには、ブラウズ・ボタンをクリックします。図 11–16に示すとおり、SelectHierarchy ダイアログ・ボックスが表示されます。各エンティティをカンマで区切って、Entity テキスト・ボックスに複数のエンティティを入力することができます。

Page 38: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–372008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

図 11–16. Select Hierarchyダイアログ・ボックス

7. Input File Type で、入力ファイルが .vcd ファイルまたは .safファイルのいずれであるかを指定できます。

8. (オプション)Limit VCD periodは、VCD fileを選択した場合にのみイネーブルされます。これにより、信号アクティビティの計算に使用するシミュレーション期間を指定できます。詳しくは、11–26ページの「Quartus IIシミュレータを使用した SAFまたはVCDファイルの生成」のステップ 9を参照してください。

9. OKをクリックします。

10. Add Power Input Fileダイアログ・ボックスのOKをクリックします。

11. (オプション)Perform glitch filtering on VCD files をオンにします。これは推奨オプションです。詳細は、11–20 ページの「グリッチ・フィルタリング」を参照してください。

12.(オプション)Write out signal activities used during poweranalysisをオンにします。Output file nameリストで、出力ファイル名を選択します。このファイルには、デザインの消費電力の見積もりに使用するすべての信号アクティビティが含まれています。こ

Page 39: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–38 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

のオプションを使用すると、以降の消費電力の見積もりで実行時間が短縮されるので、.vcdファイルを PowerPlay Power Analyzerへの入力として使用する場合は、このオプションを使用することを推奨します。元の .vcdファイルではなく、生成された .safファイルを入力として使用できます。

13.(オプション)Write signal activities to report fileをオンにします。

14.(オプション)Write power dissipation by block to report file をオンにして、ブロック別の詳細な熱消費電力の出力をイネーブルにし、それを PowerPlay Power Analyzerレポートに含めます。

15.(オプション)図 11–17に示すとおり、Assignment Editorを使用して、デザイン内のノードまたはエンティティの Power Toggle Rateまたは Power Toggle Rate Percentageと Power Static Probabilityを入力することもできます。

図 11–17. Assignment Editor 注 (1)、 (2)

図 11–17の注 :(1) Assignment Editorで行うアサインメントは、.safファイルまたは .vcdファイル内の既存の値よりも優先されます。(2) これらのアサインメントは、Tclスクリプト・コマンドを使用して行うこともできます。

Quartus II ソフトウェアでの Assignment Editor の使用方法について詳しくは、「Quartus II ハンドブック Volume 2」の 「Assignment Editor」の章を参照してください。スクリプトについて詳しくは、「Quartus IIハンドブック Volume 2」の「Tclスクリプト」の章を参照してください。

Page 40: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–392008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

16. Default toggle rate used for input I/O signalsフィールドにトグル・レートを指定します。このトグル・レートは、デバイス・ファミリがベクタなしの見積もりをサポートしているかどうかに係わらず、未指定のすべての入力 I/O 信号のトグル・レートに使用されます。デフォルトでは、この値は 12.5% に設定されます。未指定の入力I/O信号のデフォルトの静的確率は 0.5で、これは変更できません。

17. Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、またはMAX IIデバイス・ファミリの場合は、Use default valueまたは Use vectorless estimation を選択します。これ以外のすべてのデバイス・ファミリでは、Use defaultvalueのみ使用できます。この設定は、未指定の残りの信号アクティビティの計算方法を制御します。詳細は、11–24 ページの「ベクタなしの見積もり」および 11–24ページの「デフォルトのトグル・レートのアサインメント」を参照してください。

18. Categoryリストの Operating Settings and Conditionsを選択します。このオプションは、Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、およびMAX IIデバイス・ファミリでのみ実行されます(図 11–18)。

Page 41: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–40 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

図 11–18.動作条件

19. Device power characteristics リストで、Typical または Maximumを選択します。デフォルト値は Typicalです。

20. Category リストで、 アイコンをクリックして Operating Settingsand Conditionsを展開し、Voltageをクリックします。Voltageページが表示されます。

21. 選択可能なコア電圧サポートを備えたデバイスでは、Core supplyvoltageリストで使用するデバイスのコア電源電圧を選択します。このオプションは可変電圧選択付きの最新デバイスで使用できます。

22. CategoryリストのOperating Settings and Conditionsで、Temperatureを選択します。Temperatureページが表示されます(図 11–19)。

Page 42: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–412008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

図 11–19. Temperature Settingsページ

23. Junction temperature range で、ジャンクション温度(℃)とジャンクション温度範囲を指定します。選択したデバイスに対して、LowtemperatureおよびHigh temperature範囲を選択します。

24. ジャンクション温度と冷却ソリューションの設定を指定します。Specify junction temperature または Auto compute junctiontemperature using cooling solutionを選択できます。

25.(オプション)Board thermal modeling で、Board thermal modelを選択し、Board temperature を入力します。この機能は、Autocompute junction temperature using cooling solution を選択した場合にのみ有効にできます。

動作条件の設定について詳しくは、11–11 ページの「動作条件」を参照してください。

Page 43: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–42 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

26. OKをクリックして、Settingsダイアログ・ボックスを閉じます。

27. Processingメニューの PowerPlay Power Analyzer Toolをクリックします。PowerPlay Power Analyzer Toolダイアログ・ボックスが表示されます(図 11–20)。

図 11–20. PowerPlay Power Analyzer Toolダイアログ・ボックス

28. Start をクリックして、PowerPlay Power Analyzer を実行します。すべての設定が正しいことを確認します。

このダイアログ・ボックスの一部の設定を変更することもできます。例えば、Add Power Input File(s) ボタンをクリックして、入力ファイルを変更することができます。

29. PowerPlay Power Analyzerが正常に動作すると、メッセージが表示されます(図 11–21)。

Page 44: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–432008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

図 11–21. PowerPlay Power Analyzerメッセージ

30. OKをクリックします。

31. PowerPlay Power Analyzer Toolダイアログ・ボックスで、ReportをクリックしてPowerPlay Power Analyzer Summaryウィンドウを開きます。また、Compilation Reportの PowerPlay Power AnalyzerSummaryページで要約を表示することもできます(図 11–22)。

図 11–22. PowerPlay Power Analyzer Summary

Page 45: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–44 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

PowerPlay Power Analyzer Compilation ReportCompilation Reportの PowerPlay Power Analyzerセクションは、以下のセクションに分かれています。

Summary

このセクションには、デザインの総熱消費電力の見積もりが表示されます。この見積もりには、ダイナミック、スタティック、および I/O熱消費電力が含まれています。I/O熱消費電力は、VCCIO電源と VCCINTの一部に起因する I/Oの全消費電力です。 また、このレポートには信号アクティビティのデータ・ソースの全体的な品質を反映する信頼評価指数も含まれています。例えば、低い電力見積もりの信頼性値は、ユーザーが不十分なトグル・レート・データを提供したこと、または消費電力見積もりに使用される信号アクティビティ情報の大部分が、デフォルトまたはベクタなし見積もり設定から得られたものであることを反映します(入力データの詳細については、PowerPlay Power Analyzer ConfidenceMetricレポートを参照)。

Settings

このセクションには、デザインの PowerPlay Power Analyzer 設定情報が表示されます。内容は、デフォルトの入力トグル・レート、動作条件、およびその他の関連する設定情報です。

Simulation Files Read

レポートのこのセクションには、消費電力の見積もりに使用するシミュレーション出力ファイル(.vcdファイルまたは .safファイル)が表示されます。

Operating Conditions Used

レポートのこのセクションには、消費電力の見積もりで使用したデバイス特性、電圧、温度、および冷却ソリューション(ある場合)が表示されます。また、このセクションには、電力解析で使用した接合温度(入力したジャンクション温度、または自動計算されたジャンクション温度)も表示されます。このページは、Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、およびMAX IIデバイス・ファミリでのみ作成されます。

Thermal Power Dissipated by Block

レポートのこのセクションには、ダイナミック熱消費電力とスタティック熱消費電力の見積もりが ATOM 別に表示されます。この情報はデザインの各素子における消費電力の見積もりを提供します。

Page 46: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–452008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

Thermal Power Dissipation by Block Type (Device Resource Type)

レポートのこのセクションには、ダイナミック熱消費電力とスタティック熱消費電力の見積もりがブロック・タイプ別に表示されます。この情報は、ブロック・タイプ別に平均トグル・レートが表示されるだけでなく、使用した消費電力の見積もりがダイナミックとスタティックに分類して表示されます。熱電力とは、FPGA デバイスからの熱として消費される電力です。

Thermal Power Dissipation by Hierarchy

レポートのこのセクションには、ダイナミック熱消費電力とスタティック熱消費電力の見積もりがデザイン階層別に表示されます。これはさらに、階層内のブロックと配線で使用されたダイナミック消費電力とスタティック消費電力に分類されます。この情報は、デザインで問題のあるモジュールを探すのに非常に便利です。

Core Dynamic Thermal Power Dissipation by Clock Domain

レポートのこのセクションには、コア・ダイナミック消費電力の見積もり合計がクロック・ドメイン別に表示されます。これはデザインの各クロック・ドメインにおける消費電力の見積もりを提供します。ドメインのクロック周波数が制約によって指定されていない場合、そのクロック周波数は“unspecified”として表示されます。すべての組み合わせロジックでは、クロック・ドメインは「0 MHz(クロックなし)」として表示されます。

Current Drawn from Voltage Supplies

レポートのこのセクションには、各電圧源から供給された電流が表示されます。VCCIO 電圧源は、さらに I/O バンクおよび電圧で分類されます。各電源電圧の安全な最小電源サイズ(電流供給能力)も表示されます。こ の ペ ー ジ は、Arria GX、Stratix IV、Stratix III、Stratix II、Stratix II GX、Cyclone III、Cyclone II、HardCopy II、およびMAX IIデバイス・ファミリでのみ作成されます。

Summary ページに記載されている I/O 熱消費電力は、このレポートに記載されている VCCIO電圧源から供給される消費電力とは直接相関していません。これは、I/O熱消費電力値には、I/O消費電力としてモデル化されている IOE レジスタなどの VCCINT 電力部分も含まれているが、VCCIO電源からは電力を消費しないためです。

Page 47: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–46 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

Confidence Metric Details

信頼評価指数は、消費電力の見積もりに使用した信号トグル・レート・データの品質を示します。信号トグル・レート・データのソースが、デバイス動作時の実際の信号トグル・レートを正確に予測できないソースと考えられる場合、信頼評価指数は低くなります。トグル・レート・データのソースが、シミュレーション、特定の信号に対するユーザー入力アサインメント、またはエンティティの場合、トグル・レート・データは信頼できるものとみなされます。トグル・レート・データのソースが、デフォルトのトグル・レート(例えば、クロック周期の 12.5%)またはベクタなしの見積もりの場合、トグル・レート・データは比較的不正確とみなされます。このセクションには、トグル・レート・データの総合信頼性レーティングが低いものから高いものの順に示されます。また、このセクションには、シミュレーション、ユーザー入力、ベクタなしの見積もり、またはデフォルトのトグル・レートの見積もりのそれぞれからトグル・レートを取得したピン数、レジスタ数、および組み合わせノード数も要約されます。この詳細情報により、信頼評価指数を上げる方法を理解することで、トグル・レート・データにおける独自の信頼性を判断できます。

Signal Activities

このセクションには、ファンアウトとピンを持つすべての信号の電力解析で想定したトグル・レートと静的確率が表示されます。トグル・レートと静的確率のデータ・ソースに加えて、信号タイプ(Pin、Registered、または Combinational)も表示されます。デフォルトでは、すべての信号アクティビティが報告されます。これをオフにするには、PowerPlayPower Analyzer SettingsページでWrite signal activities to report fileオプションをオフにします。

大規模なデザインでは信号数が多くなるため、このオプションをオフにすることを推奨します。Assignment Editor を使用すると、Power Report Signal Activitiesアサインメントのノードに値を割り当てることによって、個々のノードまたはエンティティのアクティビティを報告するよう指定できます。

Messages

このセクションには、解析中に Quartus IIソフトウェアが生成したメッセージが表示されます。

Page 48: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–472008年 5月 暫定サポート

PowerPlay Power Analyzerの使用

Specific Rules for Reporting

Stratix GX デバイスでは、XGM II ステート・マシン・ブロックは常にGXBトランシーバとともに使用されるため、消費電力はトランシーバの消費電力にまとめられます。したがって、XGM IIステート・マシン・ブロックの消費電力は 0ワットとして報告されます。

スクリプティング・サポートこの章で説明する手順の実行と設定は Tcl スクリプトで行うことができます。また、一部の手順はコマンド・プロンプトでも実行できます。スクリプティング・コマンド・オプションについて詳しくは、Quartus IICommand-Lineおよび Tcl API Helpブラウザを参照してください。このHelpブラウザを使用するには、コマンド・プロンプトで次のコマンドを入力します。

quartus_sh --qhelp

「Scripting Reference Manual」には、同じ情報が PDF形式で付属しています。

Tcl スクリプトについて詳しくは、「Quartus II ハンドブック Volume 2」の 「Tcl スクリプト」の章を参照してください。Quartus II ソフトウェアにおける設定および制約について詳しくは、「Quartus II Settings FileReference Manual」を参照してください。コマンドライン・スクリプトについて詳しくは、「Quartus IIハンドブック Volume 2」の「Command-Line Scripting」の章を参照してください。

コマンドラインからの PowerPlay Power Analyzerの実行

PowerPlay Power Analyzer を実行できる別の実行可能ファイルとしてquartus_powがあります。quartus_powでサポートされるすべてのコマンドライン・オプションの完全なリストは、システム・コマンド・プロンプトで次のコマンドを入力します。

quartus_pow --helpまたは quartus_sh --qhelp r

プロジェクト sample.qpfで、quartus_pow実行可能ファイルを使用する例を以下に示します。

■ PowerPlay Power Analyzer で、PowerPlay Early Power Estimatorファイルを生成するには、システム・コマンド・プロンプトで次のコマンドを入力します。

quartus_pow sample --output_epe=sample.csv r

↵↵

Page 49: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–48 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

■ PowerPlay Power Analyzer で、消費電力の見積もりを行わずにPowerPlay Early Power Estimatorファイルを生成するには、システム・コマンド・プロンプトで次のコマンドを入力します。

quartus_pow sample --output_epe=sample.csv --estimate_power=off r

■ PowerPlay Power Analyzerで、.safファイルを入力(sample.saf)として使用するには、システム・コマンド・プロンプトで次のコマンドを入力します。

quartus_pow sample --input_saf=sample.saf r

■ PowerPlay Power Analyzer で、2 つの .vcd ファイルを入力(sample1.vcdおよび sample2.vcd)として使用し、.vcdファイルに対してグリッチ・フィルタリングを実行し、デフォルトの入力 I/Oトグル・レートとして 10,000遷移 /秒を使用するには、システム・コマンド・プロンプトで次のコマンドを入力します。

quartus_pow sample --input_vcd=sample1.vcd \--input_vcd=sample2.vcd --vcd_filter_glitches=on \--default_input_io_toggle_rate=10000transitions/s r

■ PowerPlay Power Analyzer で、残りのすべての信号において入力ファイルを使用せず、デフォルトの入力 I/Oトグル・レート 60%を使用し、ベクタなしの見積もりを実行せず、デフォルトのトグル・レート 20%を使用するには、システム・コマンド・プロンプトで次のコマンドを入力します。

quartus_pow sample --no_input_file --default_input_io_toggle_rate=60% \--use_vectorless_estimation=off --default_toggle_rate=20% r

PowerPlay Power Analyzer Settings Operating Conditionsページの情報を指定するコマンドライン・オプションはありません。Quartus II GUIを使用すると、これらのオプションを最も簡単に指定できます。

レポート・ファイル <revision name>.pow.rptは、quartus_pow実行可能ファイルによって作成され、メイン・プロジェクト・ディレクトリに保存されます。このレポート・ファイルには、11–44ページの「PowerPlayPower Analyzer Compilation Report」で説明するのと同じ情報が含まれます。

Page 50: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

Altera Corporation 11–492008年 5月 暫定サポート

結論

結論 PowerPlay電力解析ツールは、初期デザイン・コンセプトからデザイン実装まで、消費電力を正確に見積もるように設計されています。設計者は、PowerPlay Early Power Estimatorを使用して、デザイン・コンセプト段階で消費電力を見積もることができます。Quartus II PowerPlayPower Analyzer機能を使用すると、デザイン実装時により精度の高い消費電力の見積もりを実行できます。Quartus II PowerPlay PowerAnalyzerが生成する詳細なレポートを使用すると、デザインを最適化して消費電力を低減したり、デザインが電力バジェットの範囲内に収まることを確認できます。

参考資料 この章では以下のドキュメントを参照しています。

■ 「Assignment Editor」の章(Quartus IIハンドブック Volume 2)■ 「コマンドライン・スクリプト」の章(Quartus IIハンドブック Volume 2)

■ PowerPlay Early Power Estimators (EPE) and Power Analyzer■ 「消費電力の最適化」の章(Quartus IIハンドブック Volume 2)■ 「階層およびチーム・ベース・デザインのための Quartus IIインクリメンタル・コンパイル」の章(Quartus IIハンドブック Volume 1)

■ Quartus II Settings File Reference Manual■ 「Quartus II Simulator」の章(Quartus IIハンドブック Volume 3)■ 「セクション I. シミュレーション」(Quartus IIハンドブック Volume 3)

■ 「Tcl Scripting」の章(Quartus IIハンドブック Volume 2)

Page 51: 11. PowerPlay による電力解析 - Intel · 2021. 2. 8. · 11–4 Altera Corporation 暫定サポート 2008 年5 月 Quartus II ハンドブック Volume 3 図11–4.Power Estimationファイルの例

11–50 Altera Corporation暫定サポート 2008年 5月

Quartus IIハンドブック Volume 3

改訂履歴 表 11–5に、本資料の改訂履歴を示します。

表 11–5.改訂履歴

日付およびバージョン

変更内容 概要

2008年 5月v8.0.0

● 図 11–5を更新。● 11–6ページの「電力解析の種類」を更新。● 11–11ページの「動作条件」を更新。● 11–44 ページの「PowerPlay Power Analyzer Compilation

Report」を更新。● 11–45ページの「Current Drawn from Voltage Supplies」を更新。

Quartus IIソフトウェアv8.0のための更新。

2007年 10月 v7.2.0

● 図 10–4, 10–9, 10–10, 10–11, and 10–22を更新。● ページ 10–24“のQuartus II Simulatorを使用した、SAFまたは

VCDファイルの生成を更新。● ページ 10–28 の「サードパーティ・シミュレータを使用した

VCDファイルの生成」を更新。

Quartus IIソフトウェアv7.2のための更新。

2007年 5月 v7.1.0

● ページ 10–24“のQuartus IIシミュレータを使用した、SAFまたは VCDファイルの生成手順を更新。

● 図を更新。● ページ 10–45の「Document Revision History」を追加。

Arria GX デバイスのサポートを追加。

2007年 3月 v7.0.0

サポートされるデバイス・リストへの Cyclone IIIの追加(ページ 10-2)

2006年 11月 v6.1.0

● 新しい機能に対応するために特定の処理でのステップを変更することにより、「Quartus II シミュレータを使用した、SAFまたは VCDファイルの生成」を更新。

● セレクタブル・コア電圧オプションを追加することにより、「動作条件」を更新。

● 図10-2、10-9、10-10、10-12、10-14、10-18、および10-19を更新。

GUI の変更に対応するために図を変更。また、Stratix III デバイスについての情報を追加。

2006年 5月 v6.0.0

章のタイトルを PowerPlay Power Analysisに変更。Quartus IIソフトウェア v6.0.0のための更新:● EPEツールについての情報を追加。● Power Analyzerについての情報を追加。

2005年 10月 v5.1.0

Quartus IIソフトウェア v5.1のための更新。 —

2005年 5月 v5.0.0

● 情報を更新。● 図を更新。● Quartus IIソフトウェア v5.0のための新機能。

2004年 12月 v1.0

初版 —